This commit is contained in:
Lea 2023-11-03 09:37:39 +01:00
parent 1d2187c8d9
commit 7e5a1470aa
Signed by: Lea
GPG key ID: 1BAFFE8347019C42

3
main.c
View file

@ -26,8 +26,7 @@ void start_timer() {
// is triggered whenever timer 1 (16 bit) overflows.
ISR(TIMER1_OVF_vect) {
TCNT1 = tick_timer_start;
if (PORTB & 0b10000000) PORTB &= 0b01111111;
else PORTB |= 0b10000000;
PORTB ^= 0b10000000;
}
void main() {